则转为下一状态,否则输出”1001”;状态1时如果输入”11”则转为下一状态,否则输出”0101”;状态2时如果输入”01”则转为下一状态,否则输出”1100”;状态3时如果输入”00”则转为状态0,否则输出”0010”。复位时为状态0。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity moore1is port () begin case ______is when st0 =>if datain="10" then nst if datain="11" then nst if datain="01" then nst if datain="00" then nst<=st0; else nst<=st3; q1<="0010";end if; end case ; end process; q<=q1; end;